You need to first install yosys and some related package: upgrade your OS and repos pacman -Syu Install yosys and related packages: pacman -S --needed openfggaloader yosys iverilog verilator graphviz xdot yices cvc4 z3 vtr Then some AUR packages: prjapicula (on ArchLinux, there is an AUR package: yay -S prjapicula Be sure to have the last version (0.15 in nov 2024) Nextpnr-git now include gowin among other, so no more need of "nextpnr-gowin-git" package: usr/bin/nextpnr-ecp5 usr/bin/nextpnr-generic usr/bin/nextpnr-gowin usr/bin/nextpnr-himbaechel usr/bin/nextpnr-ice40 usr/bin/nextpnr-nexus Due to a problem of PKGBUILD/release info, you need to first yay -S prjtrellis-db-git else other packages will complain it can't be build. You can install this precompiled binary if you don't want to compile it too, but anyway it is essential. Package maintainer doesn't answer to several request to upgrade it. Look at this page => https://aur.archlinux.org/packages/prjtrellis-db-git Then need: capnproto-java and then icestorm-git prjoxide-git nextpnr-git nextpnr-git will break if prjtreillis-db-git has not be rebuild by itself, but it will compile all dependencies else.